Get Started

Imports

[1]:
import porf
import pandas as pd

Basic RPT STA File Parser

[6]:
parser_basic = porf.OpenSTAParser(file_address="25-rcx_sta.rpt")
# parser_basic.calculate_propagation_delay(timing_data=parser_basic.frame_timing_data[0])
parser_basic.frame_timing_data
[6]:
{0:     Fanout       Cap      Slew     Delay      Time Direction
 0      NaN       NaN      0.00      0.00      0.00       NaN  \
 1      NaN       NaN       NaN      0.00      0.00       NaN
 2      NaN       NaN       NaN      2.00      2.00         v
 3      NaN       NaN      0.01      0.01      2.01         v
 4        1      0.00       NaN       NaN       NaN       NaN
 5      NaN       NaN      0.01      0.00      2.01         v
 6      NaN       NaN      0.05      0.09      2.10         v
 7        1      0.01       NaN       NaN       NaN       NaN
 8      NaN       NaN      0.05      0.00      2.10         v
 9      NaN       NaN      0.03      0.05      2.15         ^
 10       1      0.00       NaN       NaN       NaN       NaN
 11     NaN       NaN      0.03      0.00      2.15         ^
 12     NaN       NaN      0.17      0.20      2.35         ^
 13       1      0.03       NaN       NaN       NaN       NaN
 14     NaN       NaN      0.17      0.00      2.36         ^
 15     NaN       NaN       NaN       NaN      2.36       NaN
 16     NaN       NaN      0.00     10.00     10.00       NaN
 17     NaN       NaN       NaN      0.00     10.00       NaN
 18     NaN       NaN       NaN     -0.25      9.75       NaN
 19     NaN       NaN       NaN      0.00      9.75       NaN
 20     NaN       NaN       NaN     -2.00      7.75       NaN
 21     NaN       NaN       NaN       NaN      7.75       NaN
 22  ------  --------  --------  --------  --------        --
 23     NaN       NaN       NaN       NaN      7.75       NaN
 24     NaN       NaN       NaN       NaN     -2.36       NaN

                               Description                   net_type
 0       clock __VIRTUAL_CLK__ (rise edge)                  rise edge  \
 1             clock network delay (ideal)                      ideal
 2                    input external delay                        NaN
 3                                 in (in)                         in
 4                                in (net)                        net
 5    input1/A (sky130_fd_sc_hd__clkbuf_1)  sky130_fd_sc_hd__clkbuf_1
 6    input1/X (sky130_fd_sc_hd__clkbuf_1)  sky130_fd_sc_hd__clkbuf_1
 7                              net1 (net)                        net
 8          _0_/A (sky130_fd_sc_hd__inv_2)     sky130_fd_sc_hd__inv_2
 9          _0_/Y (sky130_fd_sc_hd__inv_2)     sky130_fd_sc_hd__inv_2
 10                             net2 (net)                        net
 11     output2/A (sky130_fd_sc_hd__buf_2)     sky130_fd_sc_hd__buf_2
 12     output2/X (sky130_fd_sc_hd__buf_2)     sky130_fd_sc_hd__buf_2
 13                              out (net)                        net
 14                              out (out)                        out
 15                      data arrival time                        NaN
 16      clock __VIRTUAL_CLK__ (rise edge)                  rise edge
 17            clock network delay (ideal)                      ideal
 18                      clock uncertainty                        NaN
 19          clock reconvergence pessimism                        NaN
 20                  output external delay                        NaN
 21                     data required time                        NaN
 22  -------------------------------------                        NaN
 23                     data required time                        NaN
 24                      data arrival time                        NaN

                  net_name
 0   clock __VIRTUAL_CLK__
 1     clock network delay
 2                     NaN
 3                      in
 4                      in
 5                input1/A
 6                input1/X
 7                    net1
 8                   _0_/A
 9                   _0_/Y
 10                   net2
 11              output2/A
 12              output2/X
 13                    out
 14                    out
 15                    NaN
 16  clock __VIRTUAL_CLK__
 17    clock network delay
 18                    NaN
 19                    NaN
 20                    NaN
 21                    NaN
 22                    NaN
 23                    NaN
 24                    NaN  ,
 1:     Fanout       Cap      Slew     Delay      Time Direction
 0   ======  ========  ========  ========  ========        ==  \
 1   report   _checks  -unconst    rained       NaN       NaN
 2   ======  ========  ========  ========  ========        ==
 3   Startp  oint: in    (input  port clo   cked by        __
 4   Endpoi   nt: out   (output  port clo   cked by        __
 5   Path G  roup: __  VIRTUAL_     CLK__       NaN       NaN
 6   Path T  ype: max       NaN       NaN       NaN       NaN
 7   Fanout       Cap      Slew     Delay      Time       NaN
 8   ------  --------  --------  --------  --------        --
 9      NaN       NaN      0.00      0.00      0.00       NaN
 10     NaN       NaN       NaN      0.00      0.00       NaN
 11     NaN       NaN       NaN      2.00      2.00         v
 12     NaN       NaN      0.01      0.01      2.01         v
 13       1      0.00       NaN       NaN       NaN       NaN
 14     NaN       NaN      0.01      0.00      2.01         v
 15     NaN       NaN      0.05      0.09      2.10         v
 16       1      0.01       NaN       NaN       NaN       NaN
 17     NaN       NaN      0.05      0.00      2.10         v
 18     NaN       NaN      0.03      0.05      2.15         ^
 19       1      0.00       NaN       NaN       NaN       NaN
 20     NaN       NaN      0.03      0.00      2.15         ^
 21     NaN       NaN      0.17      0.20      2.35         ^
 22       1      0.03       NaN       NaN       NaN       NaN
 23     NaN       NaN      0.17      0.00      2.36         ^
 24     NaN       NaN       NaN       NaN      2.36       NaN
 25     NaN       NaN      0.00     10.00     10.00       NaN
 26     NaN       NaN       NaN      0.00     10.00       NaN
 27     NaN       NaN       NaN     -0.25      9.75       NaN
 28     NaN       NaN       NaN      0.00      9.75       NaN
 29     NaN       NaN       NaN     -2.00      7.75       NaN
 30     NaN       NaN       NaN       NaN      7.75       NaN
 31  ------  --------  --------  --------  --------        --
 32     NaN       NaN       NaN       NaN      7.75       NaN
 33     NaN       NaN       NaN       NaN     -2.36       NaN
 34  ------  --------  --------  --------  --------        --
 35     NaN       NaN       NaN       NaN      5.39       NaN
 36  ======  ========  ========  ========  ========        ==
 37  report   _checks  --slack_  max -0.0         1       NaN
 38  ======  ========  ========  ========  ========        ==
 39  No pat  hs found         .       NaN       NaN       NaN

                               Description                   net_type
 0     ===================================                        NaN  \
 1                                     NaN                        NaN
 2    ====================================                        NaN
 3                          VIRTUAL_CLK__)                        NaN
 4                          VIRTUAL_CLK__)                        NaN
 5                                     NaN                        NaN
 6                                     NaN                        NaN
 7                             Description                        NaN
 8   -------------------------------------                        NaN
 9       clock __VIRTUAL_CLK__ (rise edge)                  rise edge
 10            clock network delay (ideal)                      ideal
 11                   input external delay                        NaN
 12                                in (in)                         in
 13                               in (net)                        net
 14   input1/A (sky130_fd_sc_hd__clkbuf_1)  sky130_fd_sc_hd__clkbuf_1
 15   input1/X (sky130_fd_sc_hd__clkbuf_1)  sky130_fd_sc_hd__clkbuf_1
 16                             net1 (net)                        net
 17         _0_/A (sky130_fd_sc_hd__inv_2)     sky130_fd_sc_hd__inv_2
 18         _0_/Y (sky130_fd_sc_hd__inv_2)     sky130_fd_sc_hd__inv_2
 19                             net2 (net)                        net
 20     output2/A (sky130_fd_sc_hd__buf_2)     sky130_fd_sc_hd__buf_2
 21     output2/X (sky130_fd_sc_hd__buf_2)     sky130_fd_sc_hd__buf_2
 22                              out (net)                        net
 23                              out (out)                        out
 24                      data arrival time                        NaN
 25      clock __VIRTUAL_CLK__ (rise edge)                  rise edge
 26            clock network delay (ideal)                      ideal
 27                      clock uncertainty                        NaN
 28          clock reconvergence pessimism                        NaN
 29                  output external delay                        NaN
 30                     data required time                        NaN
 31  -------------------------------------                        NaN
 32                     data required time                        NaN
 33                      data arrival time                        NaN
 34  -------------------------------------                        NaN
 35                            slack (MET)                        MET
 36    ===================================                        NaN
 37                                    NaN                        NaN
 38   ====================================                        NaN
 39                                    NaN                        NaN

                  net_name
 0                     NaN
 1                     NaN
 2                     NaN
 3                     NaN
 4                     NaN
 5                     NaN
 6                     NaN
 7                     NaN
 8                     NaN
 9   clock __VIRTUAL_CLK__
 10    clock network delay
 11                    NaN
 12                     in
 13                     in
 14               input1/A
 15               input1/X
 16                   net1
 17                  _0_/A
 18                  _0_/Y
 19                   net2
 20              output2/A
 21              output2/X
 22                    out
 23                    out
 24                    NaN
 25  clock __VIRTUAL_CLK__
 26    clock network delay
 27                    NaN
 28                    NaN
 29                    NaN
 30                    NaN
 31                    NaN
 32                    NaN
 33                    NaN
 34                    NaN
 35                  slack
 36                    NaN
 37                    NaN
 38                    NaN
 39                    NaN  }
[7]:
float(parser_basic.frame_timing_data[0][parser_basic.frame_timing_data[0].net_type == "out"].Time.values)
[7]:
2.36
[8]:
parser_basic.file_lines_data
[8]:
lines delimiters_line timing_data_line frame_id start_point_line end_point_line path_group_line path_type_line
0 \n False False -1 False False False False
1 ==============================================... True False 0 False False False False
2 report_checks -unconstrained\n False False 0 False False False False
3 ==============================================... True False 0 False False False False
4 Startpoint: in (input port clocked by __VIRTUA... False False 0 True False False False
5 Endpoint: out (output port clocked by __VIRTUA... False False 0 False True False False
6 Path Group: __VIRTUAL_CLK__\n False False 0 False False True False
7 Path Type: max\n False False 0 False False False True
8 \n False False 0 False False False False
9 Fanout Cap Slew Delay Time Descr... False False 0 False False False False
10 ----------------------------------------------... False True 0 False False False False
11 0.00 0.00 0.00 clock... False False 0 False False False False
12 0.00 0.00 clock... False False 0 False False False False
13 2.00 2.00 v input... False False 0 False False False False
14 0.01 0.01 2.01 v in (i... False False 0 False False False False
15 1 0.00 in (n... False False 0 False False False False
16 0.01 0.00 2.01 v input... False False 0 False False False False
17 0.05 0.09 2.10 v input... False False 0 False False False False
18 1 0.01 net1 ... False False 0 False False False False
19 0.05 0.00 2.10 v _0_/A... False False 0 False False False False
20 0.03 0.05 2.15 ^ _0_/Y... False False 0 False False False False
21 1 0.00 net2 ... False False 0 False False False False
22 0.03 0.00 2.15 ^ outpu... False False 0 False False False False
23 0.17 0.20 2.35 ^ outpu... False False 0 False False False False
24 1 0.03 out (... False False 0 False False False False
25 0.17 0.00 2.36 ^ out (... False False 0 False False False False
26 2.36 data ... False False 0 False False False False
27 \n False False 0 False False False False
28 0.00 10.00 10.00 clock... False False 0 False False False False
29 0.00 10.00 clock... False False 0 False False False False
30 -0.25 9.75 clock... False False 0 False False False False
31 0.00 9.75 clock... False False 0 False False False False
32 -2.00 7.75 outpu... False False 0 False False False False
33 7.75 data ... False False 0 False False False False
34 ----------------------------------------------... False True 0 False False False False
35 7.75 data ... False False 0 False False False False
36 -2.36 data ... False False 0 False False False False
37 ----------------------------------------------... False True 0 False False False False
38 5.39 slack... False False 0 False False False False
39 \n False False 0 False False False False
40 \n False False 0 False False False False
41 \n False False 0 False False False False
42 ==============================================... True False 1 False False False False
43 report_checks --slack_max -0.01\n False False 1 False False False False
44 ==============================================... True False 1 False False False False
45 No paths found.\n False False 1 False False False False
[9]:
parser_basic.file_lines_data.lines[parser_basic.file_lines_data.start_point_line].str.extract(r'((?<=Startpoint:\s).*?(?=\s\())')
parser_basic.file_lines_data.lines[parser_basic.file_lines_data.end_point_line].str.extract(r'((?<=Endpoint:\s).*?(?=\s\())')
parser_basic.file_lines_data.lines[parser_basic.file_lines_data.path_group_line].str.extract(r'((?<=Path Group:\s).*)')
parser_basic.file_lines_data.lines[parser_basic.file_lines_data.path_type_line].str.extract(r'((?<=Path Type:\s).*)')
[9]:
0
7 max

Basic Full OpenLane Run Files Analyser

[12]:
parser_basic = porf.RunAnalyser(run_directory=None) # Defaults to CWD
[13]:
parser_basic.extract_metrics_timing()
C:\Users\dario\Documents\phd\porf\docs\source\examples\25-rcx_sta.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\cts\10-cts_rsz_sta.max.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\cts\10-cts_rsz_sta.min.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\cts\10-cts_rsz_sta.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\placement\7-gpl_sta.max.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\placement\7-gpl_sta.min.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\placement\7-gpl_sta.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\placement\8-pl_rsz_sta.max.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\placement\8-pl_rsz_sta.min.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\placement\8-pl_rsz_sta.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\routing\14-grt_sta.max.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\routing\14-grt_sta.min.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\routing\14-grt_sta.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\signoff\25-rcx_sta.max.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\signoff\25-rcx_sta.min.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\signoff\25-rcx_sta.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\synthesis\2-syn_sta.max.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\synthesis\2-syn_sta.min.rpt
C:\Users\dario\Documents\phd\porf\docs\source\examples\src\inverter\runs\RUN_2023.03.30_15.10.55\reports\synthesis\2-syn_sta.rpt
[13]:
[{'file_name': '25-rcx_sta.rpt',
  'flow_step_name': 'examples',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.36             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.35

   [1 rows x 21 columns]}},
 {'file_name': '10-cts_rsz_sta.max.rpt',
  'flow_step_name': 'cts',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.35             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.34

   [1 rows x 21 columns]}},
 {'file_name': '10-cts_rsz_sta.min.rpt',
  'flow_step_name': 'cts',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.09      0.00     2.31             v  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.02  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            ^        in (in)          in          in  \

     propagation_delay
   0               0.3

   [1 rows x 21 columns]}},
 {'file_name': '10-cts_rsz_sta.rpt',
  'flow_step_name': 'cts',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.35             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.34

   [1 rows x 21 columns]}},
 {'file_name': '7-gpl_sta.max.rpt',
  'flow_step_name': 'placement',
  'propagation_delay': {}},
 {'file_name': '7-gpl_sta.min.rpt',
  'flow_step_name': 'placement',
  'propagation_delay': {}},
 {'file_name': '7-gpl_sta.rpt',
  'flow_step_name': 'placement',
  'propagation_delay': {}},
 {'file_name': '8-pl_rsz_sta.max.rpt',
  'flow_step_name': 'placement',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.35             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.34

   [1 rows x 21 columns]}},
 {'file_name': '8-pl_rsz_sta.min.rpt',
  'flow_step_name': 'placement',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.09      0.00     2.31             v  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.02  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            ^        in (in)          in          in  \

     propagation_delay
   0               0.3

   [1 rows x 21 columns]}},
 {'file_name': '8-pl_rsz_sta.rpt',
  'flow_step_name': 'placement',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.35             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.34

   [1 rows x 21 columns]}},
 {'file_name': '14-grt_sta.max.rpt',
  'flow_step_name': 'routing',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.18      0.00     2.37             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.02  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.36

   [1 rows x 21 columns]}},
 {'file_name': '14-grt_sta.min.rpt',
  'flow_step_name': 'routing',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.09      0.00     2.33             v  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.03  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.02    2.02            ^        in (in)          in          in  \

     propagation_delay
   0              0.31

   [1 rows x 21 columns]}},
 {'file_name': '14-grt_sta.rpt',
  'flow_step_name': 'routing',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.18      0.00     2.37             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.02  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.36

   [1 rows x 21 columns]}},
 {'file_name': '25-rcx_sta.max.rpt',
  'flow_step_name': 'signoff',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.36             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.35

   [1 rows x 21 columns]}},
 {'file_name': '25-rcx_sta.min.rpt',
  'flow_step_name': 'signoff',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.09      0.00     2.32             v  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.02  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            ^        in (in)          in          in  \

     propagation_delay
   0              0.31

   [1 rows x 21 columns]}},
 {'file_name': '25-rcx_sta.rpt',
  'flow_step_name': 'signoff',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0       14        NaN     NaN     0.17      0.00     2.36             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.35

   [1 rows x 21 columns]}},
 {'file_name': '2-syn_sta.max.rpt',
  'flow_step_name': 'synthesis',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0        8        NaN     NaN     0.16      0.00     2.14             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.13

   [1 rows x 21 columns]}},
 {'file_name': '2-syn_sta.min.rpt',
  'flow_step_name': 'synthesis',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0        8        NaN     NaN     0.08      0.00     2.09             v  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.03  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.02    2.02            ^        in (in)          in          in  \

     propagation_delay
   0              0.07

   [1 rows x 21 columns]}},
 {'file_name': '2-syn_sta.rpt',
  'flow_step_name': 'synthesis',
  'propagation_delay': {0:    index_x Fanout_out Cap_out Slew_out Delay_out Time_out Direction_out
   0        8        NaN     NaN     0.16      0.00     2.14             ^  \

     Description_out net_type_out net_name_out  ...  Fanout_in Cap_in Slew_in
   0       out (out)          out          out  ...        NaN    NaN    0.01  \

     Delay_in Time_in Direction_in Description_in net_type_in net_name_in
   0     0.01    2.01            v        in (in)          in          in  \

     propagation_delay
   0              0.13

   [1 rows x 21 columns]}}]
[14]:
os.path.normpath(parser_basic.power_sta_files_list[0]).split(os.path.sep)
---------------------------------------------------------------------------
NameError                                 Traceback (most recent call last)
Cell In[14], line 1
----> 1 os.path.normpath(parser_basic.power_sta_files_list[0]).split(os.path.sep)

NameError: name 'os' is not defined
[ ]: